Spi fpga vhdlemplois

Filtrer

Mes recherches récentes
Filtrer par :
Budget
à
à
à
Type
Compétences
Langues
    État du travail
    2,000 spi fpga vhdl travaux trouvés au tarif de EUR
    Petit programme en C pour esp32 S'est terminé left

    Projet personnel : on a plusieurs led que l'on veut allumer à des moments différents L'esp32 reçoit les informations du moniteur série (image), il faut parser le JSON qui contient la configuration (date, heures, minutes, box= led) , l'enregistrer sur une carte SD (liaison SPI) pour ensuite executer les configurations au moment voulu. Un accompagnement en écran partagé me convient aussi

    €156 (Avg Bid)
    Urgent
    €156 Offre moyenne
    3 offres
    Electrical Engineering S'est terminé left

    conception des parties commande des systèmes industrielles (FPGA , Microcontrôleur , automate programmable industrielle , Arduino .....). conception des schémas électriques.

    €117 (Avg Bid)
    €117 Offre moyenne
    12 offres
    Project for Omar A. S'est terminé left

    Bonjour Omar A., Je m'appelle Ludovic Montanier, je suis aussi indépendant. Mais plutôt orienté électronique. Je travaille sur un petit module emetteur/recepteur 868Mhz low power avec comme composants principal un STM32L04 + CC1120 Aujourd’hui je dispose de 2 protos, ils sont fonctionnels, j’ai débuté la programmation sous STM32 cube IDE. Je programme bien le chip STM32, la liaison SPI entre le STM32 et le CC1120 fonctionne bien, j’écris bien et relis bien les registre. L’un est programmé en émetteur et l’autre en récepteur Mais la communication entre les modules ne se fait pas... Est-ce dans vos cordes pour m’aider à débugger et finaliser mon programme ?...

    €96 (Avg Bid)
    €96 Offre moyenne
    1 offres

    Nous avons la possibilité de produire des ASIC en quantité, nous avons une usine en chine, et nous voulons joindre la vague des miner de crypto monnaie .. Nous recherchons un passionné qui saura designer l'ASIC pour miner différente monnaie etc .. Merci

    €4550 (Avg Bid)
    €4550 Offre moyenne
    1 offres
    architecture globale projet VHDL S'est terminé left

    je suis suposé faire un projet en VHDL de A jusqu'à Z , je manipule bien le logiciel je peux decrire aussi que simuler et implémenter sur une carte FRGA , mais je me crois pas au niveau pour bien choisir un sujet ( je suis débutant , je connais pastrop sur ce que peut faire ce merveilleux logiciel ) aussi que faire l'architecture globale du projet

    €112 (Avg Bid)
    €112 Offre moyenne
    3 offres

    Je travaille sur la programmation d'une imprimante 3D sur Le FPGA, je voudrai avoir de l'aide, Mon projet consiste a Controller 3 moteur pas a pas pour dessiner sur un sol mobile avec un moteur au milieu pour envoyer de plastique fondu

    €27 - €227
    €27 - €227
    0 offres

    Pour l'un de nos client nous devons réaliser une carte électronique simple comprenant: - 1 Atmega 328P 16mhz - 1 Module Wifi - 1 Module Ethernet - 1 Diode Infrarouge (longue portée) en émission - 1 relais 230V - 1 entrée alimentation 5V - 1 module d'emission 868Mhz - 1 port SPI pour le debug - 1 port permettant le debug par liaison série TTL Je recherche une personne capable de créer le plan de cette carte afin que je puisse la faire fabriquer. Pour la partie firmware je m'en occupe. Merci d'avance pour vos propositions

    €224 (Avg Bid)
    €224 Offre moyenne
    11 offres
    Altera FPGA PCIe card S'est terminé left

    Altera FPGA PCIe card main chip: Altera Cyclone IV CGX functions: ADC/DAC, PCIe 1.1 x4, DDR2,

    €697 - €1394
    €697 - €1394
    0 offres
    FPGA design S'est terminé left

    multipliers

    €257 (Avg Bid)
    €257 Offre moyenne
    1 offres

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    €300 (Avg Bid)
    €300 Offre moyenne
    4 offres

    I'm seeking a VHDL expert for a college project revolving around basic logic gates. The project involves primarily circuit design, with an emphasis on the following: - The project is centred around basic logic gates (AND, OR, NOT) - so the complexity level is relatively beginner-friendly - A key part of this task is the delivery of comprehensive project documentation along with the circuit design. This will help me understand the design process and the logic behind it. If you have experience in VHDL and can deliver both the circuit design and documentation, I'd love to hear from you. Please include details of similar projects you've worked on, as well as your experience level with VHDL.

    €18 / hr (Avg Bid)
    €18 / hr Offre moyenne
    14 offres

    I'm in need of a specialized expert to carry out an implementation of the NTT (Number-Theoretic Transform) module on a Xilinx Artix-7 FPGA. The main objective of this project is to enhance computational performance. Key requirements include: - Proficient understanding of FPGA programming, particularly expertise in the Xilinx Artix-7 platform. - An in-depth experience in Number Theoretic Transforms. - Ability to develop a highly efficient, low latency, energy-efficient implementation that significantly boosts computational performance. Please only bid if you feel fully confident in meeting these requirements. Your expertise in this niche task will be highly valued.

    €57 (Avg Bid)
    €57 Offre moyenne
    2 offres

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    €466 (Avg Bid)
    €466 Offre moyenne
    18 offres

    We are looking for an experienced electrical engineer to assist us in solve this issue an Electrical Single Line Diagram (SLD) for a Photovoltaic field with a capacity of 2.8 MW. "The single-line diagram lacks all the part related to the MT side protection systems (DG+SPG, DDI+SPI, backup system, etc.) as per CEI 0-16 standard." additionally they tell me this: Additionally, considering that the distribution network voltage in Umbria is at 20 kV, there is a transformer power limit of 2000 kVA with Vcc at 6%. In fact, CEI 0-16 standard states that 'The user must not install transformer sections exceeding this power threshold; except for cases of larger sizes provided that, due to the impedances between the connection point and the LV side of the transformers (MT user l...

    €94 (Avg Bid)
    €94 Offre moyenne
    6 offres

    a comprehensive research paper on an FPGA based Accident Detection and Prevention Software.

    €61 (Avg Bid)
    €61 Offre moyenne
    1 offres

    I'm looking for a seasoned research writer with proficiency in technology, specifically FPGA software systems. The focus is on creating a comprehensive research paper on an FPGA based Accident Detection and Prevention Software. Key objectives include but are not limited to: - Understanding of real-time accident detection - Expounding on measures for accident prevention - Thorough explanation of the emergency communication system The ideal freelancer should be knowledgeable about artificial intelligence and its implementation in accident detection systems. Critically, they should be able to dissect and present complex tech language into a comprehensible writing. Evidence of past research work in this field will be a major plus.

    €12 (Avg Bid)
    €12 Offre moyenne
    4 offres

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    €24 / hr (Avg Bid)
    €24 / hr Offre moyenne
    30 offres

    I'm looking for an expert in VHDL to develop a Field Programmable Gate Array (FPGA) project focused on pitch detection, specifically for speech recognition purposes. The system needs to be able to process live audio input. Required Skills: • Expertise in VHDL • Experience with FPGA development • Knowledge of audio processing, specifically pitch detection for speech recognition purposes If you have the above skills and experience, please make an informed bid.

    €444 (Avg Bid)
    €444 Offre moyenne
    6 offres

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    €203 (Avg Bid)
    €203 Offre moyenne
    5 offres

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described ...

    €133 (Avg Bid)
    €133 Offre moyenne
    7 offres
    10000 1 jour left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    €111 (Avg Bid)
    €111 Offre moyenne
    1 offres

    hello, I have a project and I'm stuck at some point, please see the specifications in the zip it's for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering...for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering 2 of the project, now for rendering 3, I just need to modify the block diagrams, the truth table, the state graph and the memory map (which are all done during rendering 1) according to the modifications requested by the workbook. load... We don't need coding in vhdl, just make the modifications on rendering 1 according to the instructions for rendering 3 if ever we can do a 10 minute meeting to e...

    €21 (Avg Bid)
    €21 Offre moyenne
    3 offres

    I'm seeking a well-experienced developer who understands STM32...communication, and Remote device management would be an added bonus. The ideal candidate should have: - Proficiency in Wiznet development - Proficiency in STM32 development - Extensive experience with Ethernet connectivity - Understanding of data transfer speeds. Your job will be to write an optimal code, preferably in STM32CUBEIDE or Keil MDK Arm. The Wiznet W5500 is connected to STM32 via SPI, it should connect to ethernet router with DHCP mode and afterwards establish and maintain a websocket connection to public domain, sending and receiving data. The devices used are STM32F103C8 and Wiznet W5500. Please, make your bid indicating your understanding of the project, and your proposed timeline for complet...

    €119 (Avg Bid)
    €119 Offre moyenne
    17 offres
    psoc creator S'est terminé left

    Please help me solve the first file I upload, which uses psoc creator and the board is psoc 4200M, It would be best if you can remotely control my computer to help me complete it now. I hope it can be completed within 24 hours. It is an experiment on SPI wireless stepper motor control, the configuration part is done, and the board that connects the circuit is also connected to my computer, I need someone help me and help me write the code (C).

    €98 (Avg Bid)
    €98 Offre moyenne
    12 offres

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and...

    €167 (Avg Bid)
    €167 Offre moyenne
    12 offres

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and...

    €90 (Avg Bid)
    €90 Offre moyenne
    4 offres

    I need a proficient Embedded Engineer to design a PCB prototype for cryptocurrency mining. An efficient board that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5...

    €547 (Avg Bid)
    €547 Offre moyenne
    19 offres
    FPGA Program Build & Analysis S'est terminé left

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    €16 / hr (Avg Bid)
    €16 / hr Offre moyenne
    11 offres

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €117 (Avg Bid)
    €117 Offre moyenne
    2 offres

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €13 (Avg Bid)
    €13 Offre moyenne
    3 offres

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your propos...

    €937 (Avg Bid)
    €937 Offre moyenne
    20 offres
    Project for Saddam G. S'est terminé left

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    €9 / hr (Avg Bid)
    €9 / hr Offre moyenne
    1 offres

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with CNN models, I'm ...

    €15 (Avg Bid)
    €15 Offre moyenne
    3 offres

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    €11 (Avg Bid)
    €11 Offre moyenne
    4 offres
    MSP430 Data Storage via SPI S'est terminé left

    I'm looking for an expert who can assist me in interfacing SPI with an SDHC card for data storage on an MSP430 FR4133. Key Requirements: - The primary purpose of this project is to facilitate the storage of multimedia files on the SDHC card. - While the read/write speed isn't of utmost importance, it is moderately critical to keep up with the data storage requirements. Ideal Skills and Experience: - Proficiency in programming the MSP430 and interfacing SPI. - Prior experience in data storage projects, particularly handling multimedia files. If you're confident in your abilities and have a solid understanding of these requirements, I'd love to hear from you.

    €27 (Avg Bid)
    €27 Offre moyenne
    3 offres
    FPGA Acceleration for Trading S'est terminé left

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    €36 / hr (Avg Bid)
    €36 / hr Offre moyenne
    12 offres

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising A...

    €154 (Avg Bid)
    €154 Offre moyenne
    7 offres

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €202 (Avg Bid)
    €202 Offre moyenne
    10 offres

    ...stability, low noise and absolute value representation) K thermocouple element measurement. Two approaches are forseen - using the high precision MAX31856 as well as the AD8495 in conjunction with a 20-bit SAR and 24-bit SigDelt ADC. Apart from the ADC proposition the project comprisis of a full circuit and PCB creation. Additionaly also the needed firmware for the respective communication (over SPI) shall be properly implemented (well structured and with readable comments). Finally also testing should take please for measure the achievable performance of the proposed and implemented solutions. Please read carefully the attached project sheet with even more details. Looking forward to work together with an experienced engineer in the analog design and embedded domain. Feel fr...

    €1001 (Avg Bid)
    LDN
    €1001 Offre moyenne
    9 offres

    ...Rockchip RK3588: - Connect the RK3588 SoC to the necessary power supplies, clock signals, and peripherals according to its datasheet. 2. 4GB/8GB RAM: - Connect the RAM module(s) to the RK3588 SoC's memory interface, ensuring proper signal routing and power connections. 3. eMMC: - Connect the eMMC storage device to the appropriate interface on the RK3588 SoC, typically using MMC/SDIO or SPI interface. 4. NVMe M.2 SSD Port: - Provide the necessary connections for the NVMe M.2 SSD port, including PCIe lanes and power connections. 5. USB C Type Data + Power Connector (3 Male Connectors) & (3 Female Connectors): - Connect the USB Type-C connectors to the RK3588 SoC or an appropriate USB controller, ensuring proper signal routing for data and power delivery....

    €313 (Avg Bid)
    €313 Offre moyenne
    8 offres

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detectio...accurately. - Real-time Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel z...

    €56 (Avg Bid)
    €56 Offre moyenne
    2 offres

    HDL Coder compatible simulink model design will be made with the subject titled MODEL BASED DESIGN OF GAUSS FILTER APPLICATIONS FOR FPGA APPLICATIONS. Gauss filter can be applied on the image. The design will not contain any code and will be designed only with functions.

    €59 (Avg Bid)
    €59 Offre moyenne
    8 offres
    Project VHDL S'est terminé left

    Hi ExpertSoul, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    €232 (Avg Bid)
    €232 Offre moyenne
    1 offres

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) f...

    €146 (Avg Bid)
    €146 Offre moyenne
    13 offres

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) f...

    €82 (Avg Bid)
    Urgent
    €82 Offre moyenne
    8 offres

    We require microcontroller programming services to execute sensor interfacing and manage communication protocols. Our project needs an expert who can: - Interface our 32 bit PIC microcontroller with temperature sensors, analog circuits like Op-Amp and ADC - Implement SPI communication protocol within the microcontroller Experience with PIC microcontrollers is a must, along with a sound understanding of sensors, analog-to-digital conversion (ADC), and SPI protocol. Proven experience in similar tasks will make you an ideal candidate for us.

    €285 (Avg Bid)
    €285 Offre moyenne
    14 offres

    The project will be done in 2 parts , 1- controlling adf4356 rf synthesizer with matlab code and arduino uno hardware. The work will be done and tested on my hardware using TeamViewer. 2- Controlling mcp3424 and matlab in a loop such that the rf synthesizer generates the frequencies from 0.5 to 1.5 ghz with controllable output power. The mcp 3424 module reads those signals. The code for mcp module reading will be provided, which you can integrate in your adf code in Matlab.

    €88 (Avg Bid)
    €88 Offre moyenne
    6 offres

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €41 (Avg Bid)
    €41 Offre moyenne
    5 offres